Вопросы по теме 'system-verilog'

массив параметров systemverilog в модуле, как установить один элемент массива параметров при создании экземпляра модуля
я пытаюсь создать модуль, который имеет другой модуль памяти, я пытаюсь иметь один параметр, который из массива, и с помощью этого параметра следующий код будет генерировать экземпляр модулей, теперь я пытаюсь создать экземпляр этого модуля с...
259 просмотров
schedule 09.01.2024